Back
Featured image of post Tabby CAD Suite version 20230414 released!

Tabby CAD Suite version 20230414 released!

Tabby CAD Suite version 20230414 released!

The April release of Tabby CAD Suite is now available for download. Notable changes in this version include:

  • The initial release of our new equivalence checking tool EQY is now available. Try out some of the examples!

In other YosysHQ news:

  • We have started a new series called ‘Yosys One Liners’ where we share some useful one liners. The first one shows how to show there are no combinatorial paths between input and output signals - useful for verifying correct AXI behaviour.

Happy April, The YosysHQ Team

Built with Hugo
Theme Stack designed by Jimmy