Back
Featured image of post Tabby CAD Suite version 20231011 released!

Tabby CAD Suite version 20231011 released!

The October release of Tabby CAD Suite is now available for download. Notable changes in this version include:

  • Improved identifier resolution when using bind statements in mixed-language projects
  • Respect memory access order semantics when inferring memories with the verific frontend (for simultaneous read and write operations of the same memory location in the same clock cycle, on the same or a different port)
  • Preview builds of our upcoming formal tools ivy and scy

In other YosysHQ news:

  • We just published the 4th in our series of ‘community spotlight’ blog posts. This time we’re featuring WAL by Lucas Klemmer. WAL aims to make it easier to analyze complex waveforms.

Happy October, The YosysHQ Team

Built with Hugo
Theme Stack designed by Jimmy