Back
Featured image of post Tabby CAD Suite version 20230807 released!

Tabby CAD Suite version 20230807 released!

The August release of Tabby CAD Suite is now available for download. Notable changes in this version include:

  • The verific command now has a new option -lib to load all modules from the specified files as blackbox modules, disregarding their contents. This is useful especially for loading primitives from a simulation library, e.g.:
verific -sv -lib cells_sim.v
verific -work unisim -vhdl -lib unisim_VCOMP.vhd

The files still need to be parseable by verific, so some limitations remain on the contents of the blackboxed modules, but it is possible to ignore some errors by first calling verific -set-warning VERI-XXXX for the corresponding error code.

In other YosysHQ news:

Happy August, The YosysHQ Team

Built with Hugo
Theme Stack designed by Jimmy