Back
Featured image of post Tabby CAD Suite version 20230509 released!

Tabby CAD Suite version 20230509 released!

The May release of Tabby CAD Suite is now available for download. Notable changes in this version include:

  • The synthprop pass was added, which converts SVA assert properties into module outputs that flag assertion violations. See help synthprop for details!

In other YosysHQ news:

  • We just published the 3rd in our series of ‘community spotlight’ blog posts. This time we’re featuring AutoSVA by Marcelo Vera which aims to make Formal Property Verification more accessible to hardware designers.

Happy May, The YosysHQ Team

Built with Hugo
Theme Stack designed by Jimmy