Tabby CAD Suite version 20230306 released!
The March release of Tabby CAD Suite is now available for download. Notable changes in this version include:
- There is now support for tying licenses to Google Cloud Instance ID and to GitLab groups or repositories.
In other YosysHQ news:
- We have a new community spotlight article about sv2v. sv2v converts SystemVerilog (IEEE 1800-2017) to Verilog (IEEE 1364-2005), with an emphasis on supporting synthesizable language constructs.
- Our GitHub action that installs the oss-cad-suite has had an update.
Happy March, The YosysHQ Team