Back
Featured image of post Tabby CAD Suite version 20230104 released!

Tabby CAD Suite version 20230104 released!

Tabby CAD Suite version 20230104 released!

The January release of Tabby CAD Suite is now available for download. With the holidays there have been only a few changes, of note:

  • SBY output is now in color.

In other YosysHQ news:

  • We have reformatted our service table to clarify some points we’ve seen cause confusion. Don’t worry, our prices remain the same as they’ve always been!
  • Our first community spotlight article is about Tbengy, which is a Python Tool for SV/UVM Testbench Generation and RTL Synthesis.

Happy New Year! The YosysHQ Team

Built with Hugo
Theme Stack designed by Jimmy