Back
Featured image of post Tabby CAD Suite version 20220706 released!

Tabby CAD Suite version 20220706 released!

Autotuning formal verification engine selection, tribuf blogpost.

The July release of Tabby CAD Suite is now available for download.

SBY

  • An autotune function! This won’t fix your singing, but we hope it will help you to choose a good solver for your application. Please check the new documentation here to get started. Please let us know if you try the new feature. We will write a blogpost about it for the next newsletter.

In other YosysHQ news

  • Since tribuf support was added in May, we wrote a short blogpost about Matt’s use of it for his latest ASIC submission. We also added an example to the sby examples.
Built with Hugo
Theme Stack designed by Jimmy